# # Standard pin locations assignments for the # Terasic DE0 board. # In this file you find the locations of the # most common pins: the switches, the push buttons, # the leds and the seven segment displays. # # 29 may 2014 # J. op den Brouw # set_location_assignment PIN_F1 -to BUTTON[2] set_location_assignment PIN_G3 -to BUTTON[1] set_location_assignment PIN_H2 -to BUTTON[0] set_location_assignment PIN_G21 -to CLOCK_50 set_location_assignment PIN_F13 -to HEX0_D[6] set_location_assignment PIN_F12 -to HEX0_D[5] set_location_assignment PIN_G12 -to HEX0_D[4] set_location_assignment PIN_H13 -to HEX0_D[3] set_location_assignment PIN_H12 -to HEX0_D[2] set_location_assignment PIN_F11 -to HEX0_D[1] set_location_assignment PIN_E11 -to HEX0_D[0] set_location_assignment PIN_D13 -to HEX0_DP set_location_assignment PIN_A15 -to HEX1_D[6] set_location_assignment PIN_E14 -to HEX1_D[5] set_location_assignment PIN_B14 -to HEX1_D[4] set_location_assignment PIN_A14 -to HEX1_D[3] set_location_assignment PIN_C13 -to HEX1_D[2] set_location_assignment PIN_B13 -to HEX1_D[1] set_location_assignment PIN_A13 -to HEX1_D[0] set_location_assignment PIN_B15 -to HEX1_DP set_location_assignment PIN_F14 -to HEX2_D[6] set_location_assignment PIN_B17 -to HEX2_D[5] set_location_assignment PIN_A17 -to HEX2_D[4] set_location_assignment PIN_E15 -to HEX2_D[3] set_location_assignment PIN_B16 -to HEX2_D[2] set_location_assignment PIN_A16 -to HEX2_D[1] set_location_assignment PIN_D15 -to HEX2_D[0] set_location_assignment PIN_A18 -to HEX2_DP set_location_assignment PIN_G15 -to HEX3_D[6] set_location_assignment PIN_D19 -to HEX3_D[5] set_location_assignment PIN_C19 -to HEX3_D[4] set_location_assignment PIN_B19 -to HEX3_D[3] set_location_assignment PIN_A19 -to HEX3_D[2] set_location_assignment PIN_F15 -to HEX3_D[1] set_location_assignment PIN_B18 -to HEX3_D[0] set_location_assignment PIN_G16 -to HEX3_DP set_location_assignment PIN_B1 -to LEDG[9] set_location_assignment PIN_B2 -to LEDG[8] set_location_assignment PIN_C2 -to LEDG[7] set_location_assignment PIN_C1 -to LEDG[6] set_location_assignment PIN_E1 -to LEDG[5] set_location_assignment PIN_F2 -to LEDG[4] set_location_assignment PIN_H1 -to LEDG[3] set_location_assignment PIN_J3 -to LEDG[2] set_location_assignment PIN_J2 -to LEDG[1] set_location_assignment PIN_J1 -to LEDG[0] set_location_assignment PIN_D2 -to SW[9] set_location_assignment PIN_E4 -to SW[8] set_location_assignment PIN_E3 -to SW[7] set_location_assignment PIN_H7 -to SW[6] set_location_assignment PIN_J7 -to SW[5] set_location_assignment PIN_G5 -to SW[4] set_location_assignment PIN_G4 -to SW[3] set_location_assignment PIN_H6 -to SW[2] set_location_assignment PIN_H5 -to SW[1] set_location_assignment PIN_J6 -to SW[0] # Export the assignments right away export_assignments